博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
compute_voronoi_triangulation_mesh
阅读量:4042 次
发布时间:2019-05-24

本文共 822 字,大约阅读时间需要 2 分钟。

function [vertex_voronoi,faces_voronoi] = compute_voronoi_triangulation_mesh(Q, vertex, faces)% compute_voronoi_triangulation_mesh - compute a triangulation%%   [vertex_voronoi,face_voronoi] = compute_voronoi_triangulation_mesh(Q, vertex, faces);%%   Q is a Voronoi partition function, computed using%   perform_fast_marching_mesh.%%   Copyright (c) 2006 Gabriel Peyreif size(vertex,1)>size(vertex,2)    vertex = vertex';endif size(faces,1)>size(faces,2)    faces = faces';endV = Q(faces);V = sort(V,1);V = unique(V', 'rows')';% V = V( prod(V,2)>0 ,:);d = (V(1,:)~=V(2,:)) + (V(2,:)~=V(3,:));I = find(d==2); I = sort(I);w = V(:,I); w = sort(w(:)); % index that are in the triangulationw = unique(w);nverts = size(vertex,2);z = zeros(nverts,1);z(w) = (1:length(w))';faces_voronoi = z(V( :,I ));vertex_voronoi = vertex(:,w);

转载地址:http://xvxdi.baihongyu.com/

你可能感兴趣的文章
Android系统构架
查看>>
Android 跨应用程序访问窗口知识点总结
查看>>
各种排序算法的分析及java实现
查看>>
SSH框架总结(框架分析+环境搭建+实例源码下载)
查看>>
js弹窗插件
查看>>
自定义 select 下拉框 多选插件
查看>>
js判断数组内是否有重复值
查看>>
js获取url链接携带的参数值
查看>>
gdb 调试core dump
查看>>
gdb debug tips
查看>>
arm linux 生成火焰图
查看>>
linux和windows内存布局验证
查看>>
linux insmod error -1 required key invalid
查看>>
linux kconfig配置
查看>>
linux不同模块completion通信
查看>>
linux printf获得时间戳
查看>>
C语言位扩展
查看>>
linux dump_backtrace
查看>>
linux irqdebug
查看>>
git 常用命令
查看>>